Random indentation fix

This commit is contained in:
Eelco Dolstra 2011-11-30 18:04:14 +01:00
parent dd6e5d49ba
commit 92536c2e3c

View file

@ -634,14 +634,14 @@ sub captureStdoutStderr {
$res = IPC::Run::run(\@cmd, \$stdin, \$stdout, \$stderr);
alarm 0;
};
};
if ($@) {
die unless $@ eq "timeout\n"; # propagate unexpected errors
return (undef, undef, undef);
} else {
return ($res, $stdout, $stderr);
}
if ($@) {
die unless $@ eq "timeout\n"; # propagate unexpected errors
return (undef, undef, undef);
} else {
return ($res, $stdout, $stderr);
}
}