From 2acdb90438f315e145f97218b1b34f75b40ebc70 Mon Sep 17 00:00:00 2001 From: Ben Burdette Date: Thu, 12 May 2022 14:20:45 -0600 Subject: [PATCH] remove debug code --- src/libexpr/primops.cc | 3 --- 1 file changed, 3 deletions(-) diff --git a/src/libexpr/primops.cc b/src/libexpr/primops.cc index 8ef8fe7f5..ff5ae8809 100644 --- a/src/libexpr/primops.cc +++ b/src/libexpr/primops.cc @@ -888,9 +888,6 @@ static void prim_tryEval(EvalState & state, const PosIdx pos, Value * * args, Va } catch (AssertionError & e) { attrs.alloc(state.sValue).mkBool(false); attrs.alloc("success").mkBool(false); - } catch (Error & e) { - attrs.alloc(state.sValue).mkBool(false); - attrs.alloc("success").mkBool(false); } debuggerHook = saveDebuggerHook; v.mkAttrs(attrs);